Tuesday, September 10, 2019

[ModelSim] Hướng dẫn tạo project với code có sẵn bằng phần mềm ModelSim và tiến hành mô phỏng, kiểm tra waveform

Nếu bạn đang tìm hiểu về lĩnh vực vi mạch và đang muốn thực hành thêm tại nhà thì hẳn phần mềm ModelSim thật sự rât hữu ích cho bạn. Đặc biệt là phần mềm này thường được giảng dạy tại các trường đại học. Các sinh viên sẽ gặp 1 chút khó khăn để tiếp cận với nó và đó là lí do mình viết bài này.

Hôm nay mình sẽ hướng dẫn bạn chi tiết cách sử dụng phần mềm ModelSim để tạo 1 project dựa vào các file code có sẵn. Nói nôm na là bạn đã hoàn thành việc code và giờ cần phần mềm ModelSim để mô phỏng và kiểm tra waveform.

Đối với các bạn muốn tạo dự án mới và code thẳng trên phần mềm ModelSim thì bạn có thể tham khảo bài bên dưới.

Hình hơi nhỏ bạn chịu khó click vào hình phóng to để quan sát nhé.

Bắt đầu nào.

Bước 1: Tại cửa sổ làm việc bạn chọn: File -> New -> Project...





Bước 2: Tại cửa sổ kế tiếp bạn đặt tên cho dự án của mình tại ô Project name như bên dưới và nhấn OK.



Bước 3: Một hộp box xuất hiện và sẽ có 4 lựa chọn. Tuy nhiên, ở đây mình tập trung hai lựa chọn chính đó là  Create New FileAdd Existing File.




Ờ phần này mình chỉ hướng dẫn cách tạo project với các file sẵn có vì vậy bạn chỉ cần chọn Add Existing File như vùng khoanh đỏ bên dưới.



Bước 4: Một cửa số mới xuất hiện bạn chỉ cần chọn Browse... Để đi đến thư mục chứa các file cần mô phỏng.


Bước 5: Bạn trỏ đến các file cần import và nhấn Ctrl + A để chọn tất cả và nhấn open.



Như vậy bạn chỉ cần tiếp tục nhấn OK để import các file đến project của mình. Lúc này project của chúng ta sẽ như sau:




Bước 6: Dấu chấm hỏi xanh nghĩa là các file code chưa được compile. Để compile bạn chon Compile -> Compile all như bên dưới:




Bạn check phần log file phía dưới sẽ thấy hiển thị kết quả compile. Nếu  có dòng "0 failed with no errors". Nghĩa là bạn đã compile thành công.



Bước 7: Bây giờ đến bước simulation. Bạn chọn Simulate  -> Start simulation...



Một hộp thoại hiển thị. Đây là bước dễ gây nhầm lẫn. Bạn chon workchọn file testbench cần chạy rồi chọn OK và chờ cho đến khi quá trình simulate kết thúc.




Ngoài ra trước khi bạn chạy bước trên bạn có thể tiến hành 1 vài setting cho quá trình simulation bằng cách chọn Simulate Runtime Options... và thiết lập thông số cần thiết. Các dấu chấm hỏi xanh đã biến mất thay vào đó là các dấu tick màu xanh lá cây. Điều đó chứng tỏ bạn đã compile thành công.



Bước 8: Sau khi quá trình simulate kết thúc, lúc này tại cửa sổ "sim" bạn click chuột phải vào file testbench và chọn Add Wave.




Lúc này cửa sổ waveform hiện lên nhưng chưa có tín hiệu. Các tín hiệu đều bằng x.



Bước 9: Để có tín hiệu bạn phải chọn biểu tượng "run".


Và giờ bạn có thể kiểm tra tín hiệu waveform và log file được rồi.
Bạn dùng các biểu tượng phóng to, thu nhỏ và con lăn để dễ dàng quan sát nhé.



Log file thì bạn quan sát dưới àn hình làm việc chính. Ở đây mình mô phỏng cho thuật toán AES. Có input đầu vào, Key và mã Cipher đầu ra. Mình xuất ra log để quan sát.


Để tìm hiểu sâu hơn thì nên có sự đầu tư. Đây là các bước ban đầu.
Cảm ơn mọi người đã đọc bài của mình.

Tác giả: TrongTran
Ngày: 10/09/2019


No comments:

Post a Comment

Cách tính BW và latency trong 1 hệ thống SoC sử dụng chuẩn giao tiếp AXI protocol

Tác giả:  TrongTran Ngày:  31/12/2019 Nếu bạn nào đang làm về verification cho system performance (ST) thì bài này sẽ bổ ích cho bạn. Ngày ...