Saturday, January 5, 2019

Các phương pháp điều chế tương tự cho tín hiệu số- tìm hiểu phương pháp QPSK

Các phương pháp điều chế tương tự cho tín hiệu số

Trong nhiều hệ thống dùng dây dẫn hoặc vô tuyến (chẳng hạn modem truyền dữ liệu) tín hiệu dữ liệu điều chế sóng mang hình Sin trước khi được truyền đi. Có một số loại điều chế thường dùng là:
  • Khóa dịch chuyển biên độ ASK.
  • Khóa dịch chuyển tần số FSK.
  • Khóa dịch chuyển về pha PSK. Có nhiều cách chuyển dịch pha khác nhau:
·          Pha chia 2 hay cơ số 2 (2-PSK hay BPSK).
·          Pha chia 4 hay góc phần tư (4-PSK hay QPSK).
·          Pha chia 8 hay pha chia 16 (8-PSK hay 16-PSK) Tuyệt đối hoặc vi phân.
  • Điều chế biên độ góc phần tư QAM.

I. Phương pháp điều chế - Giải điều chế biên độ ASK (Amplitude Shift Keying)


Trong loại điều chế này, sóng mang hình sin lấy hai giá trị biên độ, xác định bởi tín hiệu dữ liệu cơ số 2. Thông thường, bộ điều chế truyền đi sóng mang khi bit dữ liệu là 1 và hoàn toàn khử tín hiệu khi dữ liệu la 0.
Cũng có loại ASK gọi là đa mức, trong đó biên độ của tín hiệu điều chế
lấy những giá trị nhiều hơn 2.
Phương pháp điều chế ASK cho phép tạo tín hiệu ASK dạng sin với hai biên độ. Biên độ tín hiệu ASK tuỳ thuộc giá trị bit ngõ vào:
  • Khi Data bit = 1 sẽ điều khiển khoá K đóng, sóng ASK nhận được ở lối ra chính là sóng mang truyền qua, có biên độ bằng biên độ sóng mang.
  • Khi Data bit = 0 sẽ điều khiển khoá K ngắt, sóng mang không truyền qua khoá K. Tín hiệu ASK có biên độ = 0.
Hình 1-1. Phương pháp điều chế ASK

Giải điều chế ASK điều chế thực hiện theo các sơ đồ trên Hình 1-2.
Tín hiệu đã được điều chế thành dạng ASK tới bộ giải điều chế sẽ được tách lấy hình bao bằng bộ tách sóng sau đó tín hiệu qua bộ lọc thông thấp để lấy đi các thành phần sóng mang còn dư. Tín hiệu từ lối ra của mạch lọc thông thấp được đưa đến mạch tạo xung vuông, tạo ra tín hiệu dữ liệu ban đầu.

Ở sơ đồ kiểu 1-2a, bộ thu nhận có tái lập sóng mang (Carrier Regenerator) và nhân sóng này với tín hiệu thu. Bộ lọc thông thấp và sơ đồ ngưỡng cho phép tái lập tài liệu số được truyền.
Sơ đồ 1-2b đơn giản hơn, thường được sử dụng trong thực tế. Tín hiệu được tách sóng trực tiếp, sau đó lọc thông thấp và hình thành.
Phương pháp ASK có sơ đồ rất đơn giản, được sử dụng chủ yếu trong kỹ thuật điện báo.
Hệ thống có các đặc trưng sau:
  • Phổ cực tiểu của tín hiệu điều chế (FW) cao hơn tốc độ truyền bit (Fb).
  • Hiệu suất truyền nhỏ hơn 1 (xác định bằng (Fb/ FW)<1).
  • “Tốc độ Baud” được định nghĩa như tốc độ điều chế bằng tốc độ truyền Fb.
Hình 1-2. Phương pháp giải điều chế ASK

II. Phương pháp điều chế - Giải điều chế biên độ FSK (Frequency Shift Keying)


Trong loại điều chế này, sóng mang lấy 2 giá trị tần số, xác định bởi dữ liệu cơ số 2. Bộ điều chế có thể thực hiện thoe nhiều cách, trong đó có những cách đáng lưu ý là:
  • Bộ dao động có điều khiển bằng điện thế.
  • Hệ phát một trong hai tần số là một hàm của tín hiệu dữ liệu.
  • Bộ chia tần điều khiển bằng tín hiệu dữ liệu.
Phương pháp điều chế FSK cho phép tạo tín hiệu FSK dạng sin với hai tần số. Giá trị tần số của tín hiệu FSK tuỳ thuộc giá trị bit tài liệu. Ví dụ sử dụng kiểu sơ đồ 1-3a:
  • Khi Data bit = 1, điều khiển khoá K ở vị trí nối sóng mang tần số F1 với lối ra FSK.
  • Khi Data bit = 0, điều khiển khoá K ở vị trí nối sóng mang tần số F2 với lối ra FSK.
  • Giản đồ tín hiệu FSK cho trên hình 1-3d.
Hình 1-3. Phương pháp điều chế FSK

Ở sơ đồ điều chế FSK kiểu 1-3b, sử dụng máy phát điều khiển bằng thế VCO (Voltage Control Oscillator). Ứng dụng trạng thái “0” hoặc “1” của data, VCO sẽ phát hai tần số F1 F2 tương ứng.
Trên hình 1-3c là sơ đồ điều chế sử dụng các bộ chia với các hệ số chia khác nhau: N và: M. Data bit sử dụng để điều khiển chọn hệ số chia. Ví dụ, khi Data bit = 1, bộ chia có hệ số chia N, tạo chuỗi xung ra có tần số F1 = f Clock /N. còn khi Data bit = 0, bộ chia có hệ số chia M, tạo chuỗi xung ra có tần số F2 = f Clock /M.
Giải điều chế FSK có thể thực hiện trên cơ sở Hình 1-4.
Mạch phổ biến nhất của bộ giải điều chế tín hiệu FSK là vòng khóa pha PLL. Tín hiệu ở mạch lối vào của mạch PLL lấy 2 giá trị tần số. Điện thế sai số một chiều ở lối ra của bộ so pha sẽ theo dõi sự dịch chuyển tần số này và cho ta hai mức (cơ số 2) (mức cao và mức thấp) của tín hiệu lối vào FSK.
Tín hiệu lối ra của mạch PLL được đưa tới mạch lọc thông thấp để loại bỏ những thành phần còn sót lại của sóng mang. Sau đó tín hiệu tới mạch tạo xung để tạo ra tín hiệu dữ liệu chính xác.
Tín hiệu FSK chứa hai thành phần tần số được giải điều chế bằng sơ đồ vòng giữ pha (PLL).
Hình 1-4. Phương pháp giải điều chế FSK

Phương pháp FSK có sơ đồ phức tạp hơn ASK, được sử dụng chủ yếu trong modem truyền số liệu (kiểu CCITT V21, CCITT V23, BELL 103, BELL 113, BELL 202) và trong kỹ thuật radio số.
Hệ thống có các đặc trưng sau:
  • Sai số ít hơn phương pháp ASK.
  • Phổ cực tiểu của tín hiệu điều chế (FW) cao hơn tốc độ truyền bit (Fb).
  • Hiệu suất truyền nhỏ hơn 1 (xác định bằng (Fb/ FW)<1).
  • “Tốc độ Baud” được định nghĩa như tốc độ điều chế bằng tốc độ truyền Fb.
III. Điều chế - Giải điều chế pha kiểu 2-PSK (BPSK)
Phương pháp điều chế 2-PSK hay BPSK (Binary PSK) hay điều chế ngược pha PRK (Phase Reversal Keying) được giới thiệu trên Hình 1-5. Sơ đồ tạo tín hiệu BPSK dạng sin với hai giá trị pha tuỳ thuộc giá trị bit tài liệu:
  • Khi Data bit = 1, điều khiển sơ đồ cho sóng BPSK cùng pha với sóng mang.
  • Khi Data bit = 0, điều khiển sơ đồ cho sóng BPSK ngược pha (1800) với sóng mang.
Sơ đồ điều chế thường được thực hiện trên bộ nhân sóng mang với Data bit. Giản đồ tín hiệu và giản đồ pha BPSK cho trên Hình 1-5.
Hình 1-5. Phương pháp điều chế PSK

Bộ giải diều chế được thực hiện thông qua bộ tách sóng tích số nhờ tín hiệu PSK và sóng mang phát lặp lại cục bộ. Nó có cùng một tần số và pha của tín hiệu phát và được tách sóng bởi tín hiệu PSK.
Mạch khôi phục sóng mang phải khôi phục một tín hiệu kết hợp (cùng tần số và pha với sóng mang) từ tín hiệu PSK. Phương pháp sử dụng như sau:
  • Một mạch dùng để nâng tín hiệu thành bậc 2, làm mất dịch pha 180 độ có mặt trong sóng mang bị điều chế làm cho việc khôi phục sóng mang của mạch PLL tiếp theo được dễ dàng.
  • Mạch PLL phát ra tín hiệu xung vuông có tần số gấp 2 lần sóng mang PSK.
  • Một bộ dịch pha cho phép điều chỉnh pha chính xác của song mang phát lặp.
  • Bộ chia đôi tần số từ bộ PLL tới và như vậy thực hiên được việc phát lặp sóng mang.

Giải điều chế BPSK có thể thực hiện trên sơ đồ Hình 1-6. Sơ đồ gồm bộ tái lập sóng mang và bộ nhân.

Hình 1-6. Phương pháp giải điều chế PSK.

Hệ thống có các đặc trưng sau:
  • Sai số ít hơn phương pháp FSK.
  • Phổ cực tiểu của tín hiệu điều chế (FW) bằng tốc độ truyền bit (Fb).
  • Hiệu suất truyền = 1 (xác định bằng (Fb/ FW) = 1).
  • “Tốc độ Baud” được định nghĩa như tốc độ điều chế bằng tốc độ truyền Fb.
IV. Điều chế - Giải điều chế pha kiểu 8-PSK
Phương pháp điều chế 8-PSK tạo tín hiệu dạng sin với tám giá trị pha lệch nhau 450, xác định bởi tổ hợp 3 bit liền nhau của tài liệu nhị phân. Tổ hợp 3 bit liền nhau này được gọi là Tribit.
Tài liệu nhị phân trước khi đưa vào sơ đồ điều chế được tạo mã Tribit nhờ các trigger đếm đôi đơn giản. Mã Tribit được biểu thị bằng tín hiệu I, QC.
Trên sơ đồ Hình 1-7 là giản đồ “chòm sao” tài liệu được mã ở dạng tribit tương ứng với các pha tín hiệu lệch so với nhau 450.
Sơ đồ điều chế 8-PSK có độ phức tạp cao, được sử dụng chủ yếu trong modem truyền số liệu (kiểu CCITT V27, BELL 208) và trong kỹ thuật radio số.
Hệ thống có các đặc trưng sau:
  • Sai số ít hơn phương pháp QPSK.
  • Phổ cực tiểu của tín hiệu điều chế (FW) bằng 1/3 tốc độ truyền bit (=Fb/3).
  • Hiệu suất truyền = 3 (xác định bằng (Fb/ FW) = 3).
  • “Tốc độ Baud” được định nghĩa như tốc độ điều chế bằng 1/3 tốc độ truyền (=Fb/3).


Hình 1-7. Giản đồ “chòm sao cho điều chế 8-PSK và 16-PSK
V. Điều chế - Giải điều chế pha kiểu 16-PSK
Phương pháp điều chế 16-PSK tạo tín hiệu dạng sin với tám giá trị pha lệch nhau 22.50, xác định bởi tổ hợp 4 bit liền nhau của tài liệu nhị phân. Tổ hợp 4 bit liền nhau này được gọi là Quadbit.
Tài liệu nhị phân trước khi đưa vào sơ đồ điều chế được tạo mã Quadbit nhờ các trigger đếm đôi đơn giản.
Các pha tín hiệu lệch so với nhau 22.50.
Sơ đồ điều chế 16-PSK có độ phức tạp cao, được sử dụng chủ yếu kỹ thuật radio số.
Hệ thống có các đặc trưng sau:
  • Sai số ít hơn phương pháp 8-PSK.
  • Phổ cực tiểu của tín hiệu điều chế (FW) bằng 1/4 tốc độ truyền bit (=Fb/4).
  • Hiệu suất truyền = 4 (xác định bằng (Fb/ FW) = 4).
  • “Tốc độ Baud” được định nghĩa như tốc độ điều chế bằng 1/4 tốc độ truyền (=Fb/4).

VI. Phương pháp điều chế - Giải điều chế hỗn hợp pha và biên độ QAM (Quadrature Amplitude Modulation)

Trong phương pháp điều chế QAM, thông tin số được điều chế chứa trong cả pha và biên độ tín hiệu truyền. Các giá trị tín hiệu điều chế có dạng sin với pha và biên độ được xác định bởi tổ hợp các bit liên nhau của tài liệu nhị phân.
Hệ thống 8-QAM điều chế tín hiệu trên cơ sở tổ hợp Tribit của tài liệu. Một nhóm trong chúng thay đổi biên độ và 2 nhóm còn lại thay đổi về phía pha. Tín hiệu điều chế sẽ nhận 4 pha và 2 biên độ khác nhau (xem Bảng 1-1).
Trên sơ đồ Hình 1-8 là giản đồ “chòm sao” tài liệu được mã ở dạng Tribit cho điều chế 8-QAM.
Hệ thống 16-QAM điều chế tín hiệu trên cơ sở tổ hợp Quadbit của tài liệu. Tín hiệu điều chế sẽ nhận 16 trạng thái khác nhau về pha và biên độ (xem Bảng 1-2).
Bảng 1-1
STT
LỐI VÀO NHỊ PHÂN
LỐI RA 8-QAM
1
0
0
0
0.716V
-1350
2
0
0
1
1.848V
-1350
3
0
1
0
0.765V
-450
4
0
1
1
1.848V
-450
5
1
0
0
0.765V
+1350
6
1
0
1
1.848V
+1350
7
1
1
0
0.765V
+450
8
1
1
1
1.848V
+450
Hình 1-8. Giản đồ “chòm sao” cho điều chế 8-QAM và 16-QAM
Bảng 1.2
STT
LỐI VÀO NHỊ PHÂN
LỐI RA 16-QAM
1
0
0
0
0
0.311V
-1350
2
0
0
0
1
0.850V
-1750
3
0
0
1
0
0.311V
-450
4
0
0
1
1
0.850V
-150
5
0
1
0
0
0.850V
-1050
6
0
1
0
1
1.161V
-1350
7
0
1
1
0
0.850V
-750
8
0
1
1
1
1.161V
-450
9
1
0
0
0
0.311V
1350
10
1
0
0
1
0.850V
1750
11
1
0
1
0
0.850V
450
12
1
0
1
1
0.850V
150
13
1
1
0
0
0.850V
1050
14
1
1
0
1
1.161V
1350
15
1
1
1
0
0.850V
750
16
1
1
1
1
1.161V
450
Trên sơ đồ Hình 1-8 là giản đồ “chòm sao” tài liệu được mã ở dạng Quadbit cho điều chế 16-QAM.
Sơ đồ điều chế QAM có độ phức tạp cao, được sử dụng chủ yếu trong modem truyền số liệu (kiểu CCITT V22bis, CCITT V29, CCITT V32, CCITT V33, BELL 209) và trong kỹ thuật radio số.
Hệ thống có các đặc trưng sau:
  • Sai số ít hơn phương pháp PSK.
  • Phổ cực tiểu của tín hiệu điều chế (FW) bằng 1/n tốc độ truyền bit (=Fb/n), với n là số bit khảo sát điều chế, ví dụ, n-3 cho 8-QAM, n=4 cho 16-QAM.
  • Hiệu suất truyền = n (xác định bằng (Fb/ FW) = n).
  • “Tốc độ Baud” được định nghĩa như tốc độ điều chế bằng 1/n tốc độ truyền (=Fb/n).
VII. Phương pháp điều chế - Giải điều chế pha vi phân DPSK (Differential Phase Shift Keying)
Trong hệ thống điều chế PSK, việc giải điều chế được thực hiện khi so pha tức thời của PSK với tín hiệu mang tái lập ở bộ thu. Hệ thống PSK với thông tin chứa trong giá trị pha tuyệt đối còn được gọi là PSK tuyệt đối. Khó khăn của hệ thống này là cần giữ pha của sóng mang tái lập không đổi.
Hệ thống DPSK được xây dựng cho phép giải quyết khó khăn nêu trên. Thông tin trong hệ DSPK không chứa trong các giá trị tuyệt đối của pha sóng mang điều chế mà chứa trong sự lệch pha của hai khoảng điều chế liên tiếp.
BPSK vi phân
Phương pháp điều chế BPSK vi phân được mô tả trên Hình 1-91-10. Trong đó tài liệu đầu tiên được chuyển thành mã vi phân, sau đó sử dụng bộ BPSK để điều chế pha.
Sơ đồ EX-OR (hoặc - loại trừ) đã được làm chậm đi 1 khoảng bit. Lối ra EX-OR sẽ đảo bit ra thứ (n+1) nếu bit thứ (n)“1” và giữ lối ra không đổi nếu bit (n) = “0”.
Kết quả là ở lối ra bộ tạo mã vi phân có sự thay đổi pha 1800 ứng với mỗi bit tài liệu = “1”.

Hình 1-9. Giản đồ mã vi phân.

Bộ giải điều chế BPSK vi phân có hai phần: giải điều chế BPSK thông thường để nhận lại mã vi phân. Sau đó bộ giải mã vi phân chứa sơ đồ EX-OR và bộ làm chậm 1 khoảng bit sẽ tái lập lại tài liệu.
Hình 1-10. Phương pháp điều chế và giải điều chế BPSK vi phân
QPSK vi phân.
Phương pháp điều chế QPSK vi phân được mô tả trên Hình 1-11. Trong đó tài liệu đầu tiên được chuyển thành mã vi phân dạng Dibit cho tín hiệu D-ID-Q, sau đó sử dụng bộ vi phân Dibit để điều chế pha vi phân là các sóng sin lệnh pha nhau 00, 900, 1800 và 2700.
Sơ đồ EX-OR (hoặc - loại trừ) được sử dụng làm bộ so sánh tài liệu NRZ lối vào với tài liệu lối ra bộ so sánh (EX-OR) đã được làm chậm đi một khoảng bit. Lối ra EX-OR sẽ đảo bit ra thứ (n+1) nếu bít thứ (n)“1” và giữ lối ra không đổi nếu bit (n)= “0”.
Hình 1-11. Phương pháp điều chế và giải điều chế QPSK vi phân
Kết quả là ở lối ra bộ tạo mã vi phân có sự thay đổi pha 1800 ứng với mỗi bit tài liệu = “1”.
Bảng 1-3 mô tả sự tương ứng giữa tài liệu Dibit và sự dịch pha tín hiệu ra.

Bảng 1-3
DIBIT
DỊCH PHA
0
0
00
0
1
900
1
1
1800
1
0
2700
































PHẦN 2
Lý thuyết về điều chế 4-PSK


Phương pháp điều chế 4-PSK hay QPSK (Quadrature PSK) được giới thiệu trên Hình 2-1. Sơ đồ tạo tín hiệu QPSK dạng sin với bốn giá trị pha, xác định bởi tổ hợp (cặp) 2 bit liền nhau của tài liệu nhị phân. Tổ hợp 2 bit liền nhau này được gọi là Dibit có độ dài 2 bit.
PSK 4 pha còn gọi là PSK vuông góc (QPSK : Quadrature PSK) là mạch điều chế cho tín hiệu ra có 1 trong 4 pha tùy theo trạng thái của một cặp bit (dibit) dữ liệu vào, độ lệch pha của các tín hiệu ra là 90°.
Với bộ điều chế 4-PSK ta có:
N= 2 vậy số trạng thái pha là 2^N = 4 trạng thái như bảng bên dưới.


Debit
Phase
00
45
01
135
10
315
11
225
Bảng 2.1 Các trạng thái của QPSK
Tài liệu nhị phân trước khi đưa vào sơ đồ điều chế được tạo mã Dibit nhờ trigger đếm đôi đơn giản. Mã Dibit được biểu thị bằng tín hiệu IQ:
  • Tín hiệu tài liệu I (cùng pha – In Phase) gồm các mức thế ứng với giá trị bit đầu của cặp bit khảo sát.
  • Tín hiệu tài liệu Q (bậc 2 – Quadrature) gồm các mức thế ứng với giá trị bit thứ hai của cặp bit khảo sát.

Hình 2-1. Phương pháp điều chế QPSK
Các tín hiệu IQ được nhân với các sóng mang lệch pha nhau 900 (gọi là F0 = sin(wCt) và F90 = cos(wCt) ). Kết quả là khi lấy tổng tín hiệu ở cả hai nhánh của sơ đồ Hình 2-1, sẽ nhận được các tín hiệu F0 +F90; -F0 +F90; F0 -F90; -F0 -F90.
Bộ điều chế QPSK như vậy được xây dựng trên hai bộ BPSK, tạo ra hai tín hiệu BPSKIBPSKQ cho bộ lấy tổng để hình thành tín hiệu 4 pha. Giản đồ pha và dạng sóng QPSK cho trên Hình 2-1. Trên đồ thị cho thấy với 4 giá trị của Dibit (I-Q), tín hiệu QPSK có 4 pha khác nhau.
Giải điều chế QPSK có thể thực hiện trên sơ đồ Hình 2-1. Sơ đồ gồm bộ tái lập sóng mang và các bộ nhân để tái lập Dibit. Mã Dibit sau đó được giải mã để chuyển về trạng thái tài liệu thông thường.
Hình 2-2. Phương pháp giải điều chế QPSK
Bộ tái lập sóng mang (hình 2-3) bao gồm:
  • Hai sơ đồ lấy bình phương ()2 để chuyển các tín hiệu khác pha về cùng 1 pha.
  • Vòng giữ pha PLL phát lại nhịp với tần số gấp bốn tần số mang.
  • Bộ dịch pha DF để hiệu chỉnh pha.
  • Bộ chia hai chung để đưa tần số tín hiệu tái lập còn gấp đôi tần số sóng mang.
  • Hai bộ chia hai với nhịp điều khiển đếm lệch pha 900 (lấy từ Q đến  của bộ chia 2 chung) để tạo các sóng mang tái lập lệch pha nhau 900.
·     
Hình 2-3. Sơ đồ tái lập sóng mang cho giải điều chế QPSK

Hai bộ nhân tín hiệu thực hiện nhân sóng điều chế QPSK với các sóng mang tái lập lệch pha. Tín hiệu giải điều chế được lọc thông thấp để tái lập tín hiệu Dibit. Qua sơ đồ trigger, chuyển mã Dibit về mã tài liệu nhị phân thông thường.
Sơ đồ điều chế QPSK có độ phức tạp cao, được sử dụng chủ yếu trong modem truyền số liệu (kiểu CCITT V22, CCITT V26, BELL 201) và trong kỹ thuật radio số.
Hệ thống có các đặc trưng sau:
Sai số ít hơn phương pháp FSK.
Phổ cực tiểu của tín hiệu điều chế (FW) bằng nửa tốc độ truyền bit (=Fb/2).
Hiệu suất truyền = 2 (xác định bằng (Fb/ FW) = 2).
“Tốc độ Baud” được định nghĩa như tốc độ điều chế bằng nửa tốc độ truyền (=Fb/2).



                         Hình 2.4 Điều chế tín hiệu 4-psk




















PHẦN 3
Mô phỏng matlad và kết quả

Code:

clear all;
close all;

%Chọn 2000 giá trị ngẫu nhiên ngõ vào
bits=randi([0 1],1,2000);


%Định nghĩa phase cho QPSK
P0=pi/4;   % 45 Degree
P1=3*pi/4; % 135 Degree
P2=7*pi/4; % 315 Degree
P3=5*pi/4; % 225 Degree


%Định nghĩa chu kì và tần số
f=2000;
fs=100;
df=1;
dt = 1/f;
Fmax = 100*f/4;
fk = -Fmax:df:Fmax-1;
t=0:1/f/fs:1/f; % Khoảng thời gian hiển thị của song mang và song truyền
t1=0:2/f/fs:2/f; %


%Định nghĩa các biến
time=[];
time1=[];
Digital=[];
Carry=[]; %Carries
QPSKSignal=[];


%Thiết lập tín hiệu ngõ vào
for i=1:1:length(bits)
    if bits(i)==0
        z=zeros(1,length(t));
        Digital = [Digital z];
    end
   
    if bits(i)==1
        o=ones(1,length(t));
        Digital = [Digital o];
    end
   

    %Thiết lập sóng mang.
    Carry=[Carry (sin(2*pi*f*t))];
    time=[time t];
    t= t+1/f;
 
end

%Tính tín hiệu ngõ ra dựa vào tín hiệu ngõ vào và song mang
for ii=1:2: length(bits)
   
    % Trường hợp 1 = 00 thiết lập cho 45 độ
    if bits(ii)==0 && bits(ii+1)==0
        bits00= sin(2*pi*f*t1 + P0);
        QPSKSignal=[QPSKSignal (bits00)];
        QPSKSignal_Fre =  fftshift(fft(bits00))/length(bits00);
    end
   
    % Trường hợp 1 = 01 thiết lập cho 135 độ
    if bits(ii)==0 && bits(ii+1)==1
        bits01= sin(2*pi*f*t1 +P1);
        QPSKSignal=[QPSKSignal (bits01)];
        QPSKSignal_Fre =  fftshift(fft(bits01))/length(bits01);
    end
   
    % Trường hợp 1 = 10 thiết lập cho 315 độ
    if bits(ii)==1 && bits(ii+1)==0
        bits10= sin(2*pi*f*t1 +P2);
        QPSKSignal=[QPSKSignal (bits10)];
        QPSKSignal_Fre =  fftshift(fft(bits10))/length(bits10);
    end
   
    % Trường hợp 1 = 11 thiết lập cho 225 độ
    if bits(ii)==1 && bits(ii+1)==1
        bits11= sin(2*pi*f*t1 +P3);
        QPSKSignal=[QPSKSignal (bits11)];
        QPSKSignal_Fre =  fftshift(fft(bits11))/length(bits11);
    end
   

   
    time1= [time1 t1];
    t1=t1+2/f;
   
end
   QPSKSignal_Fre =  fftshift(fft(QPSKSignal))/length(QPSKSignal);
  
   % Vẽ tín hiệu digital
   subplot(3,1,1);
    plot(time, Digital,'lineWidth',2.5);  
    title('Digital Signal');
    axis([0 0.01 -0.5 1.5]);
     grid on;
    
   % Vẽ song mang
   subplot(3,1,2);
    plot(time,Carry,'lineWidth',2.5);  
    title('Carry Signal');
    axis([0 0.01 -1.5 1.5]);
     grid on;
    
   % Vẽ tín hiệu sau điều chế
   subplot(3,1,3);
    plot(time1,QPSKSignal,'lineWidth',2.5);  
    title('QPSK Signal');
    axis([0 0.01 -1.5 1.5]);
     grid on;
    
   % Vẽ phổ tín hiệu
   figure(2);
   plot(time1*2000,abs(QPSKSignal_Fre)*1,'lineWidth',2.5);
   title('QPSK_Fre Signal');
   axis([-0 2000 -0.01 0.05]);
   grid on;
 mod = comm.QPSKModulator;
%Vẽ sơ đồ chòm sao.
constellation(mod); 
   


Kết quả báo cáo:

Hình 3-1 Biểu đồ chòm sao của QPSK



Hình 3-2 Dạng sóng sau điều chế
                         

Hình 3-3 Mẫu

Kết luận: Khi tín hiệu ngõ vào thay đổi giá trị thì tín hiệu hình sin sau điều chế sẽ bị đảo pha 90 độ.
Đối với bộ điều chế BPSK con số này là 180 độ còn với điều chế 8-PSK là 45 độ.

No comments:

Post a Comment

Cách tính BW và latency trong 1 hệ thống SoC sử dụng chuẩn giao tiếp AXI protocol

Tác giả:  TrongTran Ngày:  31/12/2019 Nếu bạn nào đang làm về verification cho system performance (ST) thì bài này sẽ bổ ích cho bạn. Ngày ...